Documentation

Tutorial and reference material

User guide
Tutorial
Reference documentation
FAQ

Blog posts

Dr. Gergő Érdi posts on CPU, VGA, PS2 and much more
Where Lions Roam: Haskell & Hardware on the VELDT
VELDT blinker example with Clash
Programming an FPGA with CλaSH
Building a Networked Key-Value-Store on an FPGA
Getting started with Clash on the Arrow DECA devkit

Projects in/for Clash

Lion: a formally verified, 5-stage pipeline RISC-V core
Space Invaders arcade machine
Clashilator: Automated Clash - Verilator integration
Lattice iCE40 Primitive IP
Contranomy: LiteX-compatible single-stage/non-pipelined RISC-V core
Clash-WaveDrom: Generate wave diagrams from Clash

Resources requiring minor changes

While the text in the following articles probably did age well, the code will need minor changes in order to compile with the latest versions of the Clash compiler.

Introduction to Clash for FPGA development
6502 in Clash
An FPGA-based SKI calculus evaluator written in Haskell/Cλash
Functional hardware
Remote fpga call
Building a CPU with Haskell
FIR filter design in Clash
Programming an FPGA with CλaSH - Xilinx version